205th Meeting of The Electrochemical Society

May 9-May 14, 2004

PROGRAM INFORMATION

E1 - Electrochemical Processing in ULSI and MEMS

Electrodeposition

Monday, May 10, 2004

Salon J, Level 3

Feature Filling in Damascene Processing

Co-Chairs: H. Deligianni and T.P. Moffat

TimeAbs#Title
10:00147 Superconformal Electrochemical Deposition of Gold for Interconnects in Integrated Circuits - Z. Hu and T. Ritzdorf (Semitool, Inc.)
10:20148 Leveling of Electroplated Cu in Damascene Applications - J. Reid, E. Webb, J. Sukamto, Y. Takada, and T. Archer (Novellus Systems)
10:40149 Superconformal Film Growth - T. Moffat, D. Wheeler, and D. Josell (NIST)
11:00150 Effect of Cl- Concentration on Superfilling Behavior - M. Hayase, M. Taketani, T. Hatsuzawa (Tokyo Institute of Technology), and K. Hayabusa (Ebara Research Company)
11:20151 A Comparison Study of MPSA-Cl-PEG and SPS-Cl-PEG Additive Systems for Copper Electrodeposition - M. Tan and J. Harb (Brigham Young University)
11:40152 Advances in Cu Interconnect Technology - C. Uzoh, H. Talieh, T. Wang, and B. Basol (NuTool Inc.)

Feature Filling in Damascene Processing

Co-Chairs: T.P. Moffat and J. Reid

TimeAbs#Title
14:00153 Quantitative Monitoring of Copper Electroplating Additives and Their Breakdown Products - M.J. West, M.R. Anderson, Q. Wang, T.H. Bailey (Metara Inc.), A. Rosenfeld, Z.-W. Sun, and K.P. Ta (Applied Materials)
14:20154 Study on the Mechanism of Electrochemical Mechanical Deposition of Copper Layers - B. Basol, C. Uzoh, and T. Wang (NuTool Inc.)
14:40155 Influence of Leveling Agents on Surface Roughness of Electrodeposited Copper Films - C. Witt (Cookson Electronics) and R. Carpio (International Sematech)
15:00156 Surface Morphology Evolution During the Initial Stages of Electroplating Copper for Dual Damascene Metallization - E. Webb and J. Reid (Novellus Systems, Inc.)
15:20157 Time-Dependent Transport-Kinetics Model for Additives Interactions During ‘Bottom-Up’ Fill - R. Akolkar and U. Landau (Case Western Reserve University)
15:40 Twenty-Minute Intermission
16:00158 Bottom-up Filling in Cu Electroless Deposition Using Bis-(3-sulfopropyl)-Disulfide (SPS) - C.H. Lee and J.J. Kim (Seoul National University)
16:20159 An in situ Spectroelectrochemical Investigation into the Electrodeposition of Cu from Acidic Sulphate Solutions in the Presence of PEG - B. Bozzini (Universita' di Lecce)
16:40160 Impact of Bath Composition on the Purity and Room Temperature Anneal Characteristics of Thin Copper Films - J. Sukamto and J. Reid (Novellus Systems Inc.)
17:00161 Cross-Sectional Crystallographic Analysis of Copper Electrodeposits for ULSI Metallization by EBSD - H.-J. Lee, K.H. Oh, and D.N. Lee (Seoul National University)
17:20162 Scaling Analysis of Additives Transport Inside Vias with Reactive Sidewalls - R. Akolkar and U. Landau (Case Western Reserve University)
17:40163 Time Evolution of the Scaling Behaviour of Roughness in Electrodeposited Copper Metallization by Atomic Force Microscopy - S. Ahmed and D.N. Buckley (Department of Physics)

Tuesday, May 11, 2004

Pattern and Cell Scale Modeling/ Deposition on Barriers/Cleaning

Co-Chairs: H. Deligianni and S. Mayer

TimeAbs#Title
08:00164 A Case Study in Predictive Three-Dimensional Topography Simulation Based on a Level-Set Algorithm - C. Heitzinger, A. Sheikholeslami (Technical University of Vienna), J. Fugger, O. Häberlen, M. Leicht (Infineon Technologies), and S. Selberherr (Technical University of Vienna)
08:20165 Methods for Characterization of Mass Transfer Boundary Layer in an Industrial Semiconductor Wafer Plating Cell - B. Wu, Z. Liu, A. Keigler, and J. Harrell (Nexx Systems)
08:40166 Unsteady Numerical Simulation of the Mass Transfer within a Reciprocating Paddle Electroplating Cell - G. Wilson and P. McHugh (Semitool, Inc.)
09:00167 Uniform Copper Electroplating on Resisitve Substrates - R. Fang (Ebara Technologies, Inc.), K. Ide, H. Suzuki (Ebara Corporation), K. Namiki, H. Kanda, K. Musaka (Ebara Technologies, Inc.), K. Mishima (Ebara Corporation), P.M. Vereecken, K.K. Kwietniak, B.C. Baker, and H. Deligianni (IBM)
09:20168 Field Effect in “Overplating” Above Damascene Trench Clusters - C. Yu and J. Dukovic (Applied Materials)
09:40 Twenty-Minute Intermission
10:00169 Direct Depositon of Copper on TaN Barrier Layers for ULSI Applications - S. Kim and D. Duquette (Rensselaer Polytechnic Institute)
10:20170 The Electrodeposition of Cu onto Ti and other Substrates: Effect of Concentration - M. Zheng, H. Song, and A. West (Columbia University)
10:40171 Iodine as a Passivation and Surfactant Layer for Cu OPD on Ru - S. Rudenja, N. Magtoto, J. Lei, C. Bjelkvig, J. Liu, and J. Kelber (University of North Texas)
11:00172 Electrochemical Studies of the Ta/HF Interface - I. Suni and H. Li (Clarkson University)
11:20173 Characterization of Dielectric Flash Layer used as Cu-Ultra Low k (k 2.2) Barrier - L. Zhang, C. Li, Y. Chen, C. Li, L. Wong, S. Balakumar, H. Li, M.-R. Moitreyee (Institute of Microelectronics), and H.S. Park (Nanyang Technological Univ.)
11:40174 Fluorocarbon Post-Plasma Etch Residue Cleaning With Radical Anions - C. Timmons and D. Hess (Georgia Institute of Technology)

Planarization by ECP and/or CMP

Co-Chairs: S.T. Mayer and H. Deligianni

TimeAbs#Title
14:00175 Cu Electropolishing for Damascene Processing - I. Suni and B. Du (Clarkson University)
14:40176 Scaling Analysis and Application of Cu Electropolishing - S. Brankovic, R. Harris, and E. Johns (Seagate Research Center)
15:00177 Study of BTA Derivatives in Cu Abrasive Free Polishing - B.-W. Huang (National Tsing Hua University), J.-Y. Fang, K.-C. Hsuen (National Chiao Tung University), M.-S. Tsai, B.-T. Dai (National Nano Device Laboratories), M.-S. Feng, C.-F. Yeh (National Chiao Tung University), and H.-C. Shi (National Tsing Hua University)
15:20178 A Comparative Study of Slurry Flow and Transport in CMP Polishing Pads of Three Groove Arrays - G. Muldowney (Rodel Inc.) and D. Tselepidakis (Fluent Inc.)
15:40179 Selection of an Oxidant for Copper CMP - M. Anik (Osmangazi University)
16:00180 Post CMP Defect Study on CVD Ultra Low k Aurora^{TM}$ Integrated with Cu Single Damascene - L. Zhang, S. Balakumar, C. Tsang, C. Li, L. Wong, H. Li, Y. Chen, M.-R. Moitreyee (Institute of Microelectronics), N. Matsuki, A. Fukazawa (ASM Japan K.K,), J. Chee (Cabot Microelectronics Corporation), and H.S. Park (Nanyang Technological Univ.)
16:20181 Slurry Backmixing Effects in CMP Rotary and Belt Polishers - G. Muldowney (Rodel Inc.)
16:40182 An Investigation of the Effects of Wafer Curvature Changes During Copper Damascene Processing - R.A. Carpio, S.-T.L. Dorris (International Sematech), and J. Woodring (Oraxion Diagnostics)

Wednesday, May 12, 2004

Fundamental Aspects of Electrodeposition

Co-Chairs: G.R. Stafford and J. Stickney

TimeAbs#Title
10:00183 A New Approach to Modeling Charge Transfer in Metal Deposition - E. Gileadi (Tel-Aviv University)
10:40184 In Situ Stress Measurements During Copper Electrodeposition on Au(111) - G. Stafford, O. Kongstein, and U. Bertocci (NIST)
11:00185 Two-dimensional Computational Model for Electrochemical Micromachining with Ultrashort Voltages Pulses - J. Kenney and G. Hwang (University of Texas at Austin)
11:20186 Deposition of Nanostructured Compound Semiconductors onto Copper Substrates via EC-ALE - L. Pham, S. Cox, M. Mathe, J. Stickney, and U. Happek (The University of Georgia)
11:40187 Charge Transfer and Crystallization Stages of Zinc Nucleation on Pyrolitic Graphite - Y. Krishtop and V. Trofimenko (Dniepropetrovsk National University)

Co-Chairs: E. Podlaha and H. Deligianni

TimeAbs#Title
14:00188 MEMS Fabrication for Wireless Communications Using Copper Interconnect Technology - H. Deligianni, J. Cotte, C.V. Jahnes, L.P. Buchwalter, N. Hoivik, M. Krishnan, J. Tornello, J.H. Magerlein, and D.E. Seeger (IBM)
14:40189 PVD Silver as a Material of Choice for Microwave Passives in Silicon Technology - V. Levenets, R. Amaya, G. Tarr, and T. Smy (Carleton University)
15:00190 Large Volume, High Performance Electroplated Co-Pt Micromagnets for MEMS Applications - I. Zana, D. Arnold, and M. Allen (Georgia Institute of Technology)
15:20191 Electroplated Permanent Magnet on a Bistable Microvalve as a Part of Single Wafer Foundry Process - J.S. Bintoro and P.J. Hesketh (Georgia Institute of Technology)
15:40192 Magnetically Bistable Actuators for Micro-RF Switch - G.D. Gray, L. Zhu, and P.A. Kohl (Georgia Institute of Technology)
16:00 Twenty-Minute Intermission
16:20193 CoNi/Cu Multilayers by Electrochemical Deposition - J. Zhang, M. Moldovan, D. Young, and E. Podlaha (Louisiana State University)
16:40194 Comparison of CoFe Films Plated from an Ammonium-Containing Sulfate Bath and from a SBA-Containing Sulfate Bath - I. Shao, E. Cooper (IBM Corp.), H. Xu, C. Bonhote (Hitachi Global Storage Technology), J. Lam, and L.T. Romankiw (IBM Corp.)
17:00195 Ultra Low-Stress FeCoNi Electrodeposits for Magnetic-MEMS - S. Kelcher (University of California, Riverside), D.-Y. Park, J.M. Ko (Hanbat National University), and N.V. Myung (University of California, Riverside)
17:20196 Electrochemically Formed Biaxial Stress Gradients for Improved Electrostatic Actuation - G.D. Gray, M.J. Morgan, and P.A. Kohl (Georgia Institute of Technology)
17:40197 Ageing of Ni Sulfamate Electrolytes During Electrodeposition of MEMS Structures - J. Kelly, S. Goods, G. Lucadamo, A. Talin, and N. Yang (Sandia National Laboratories)

Thursday, May 13, 2004

Co-Chairs: S. Mayer and G.R. Stafford

TimeAbs#Title
08:00198 Ferroelectric Properties and Reliabilities of Si-Added PZTN Thin Film - T. Kijima, Y. Hamada, K. Ohashi, T. Aoyama, H. Miyazawa, E. Natori, and T. Shimoda (Seiko Epson Corporation)
08:20199 Atomic Order Flattening and Hydrogen Termination of Si(110) Surface - H. Akahori, K. Nii, A. Teramoto, and T. Ohmi (Tohoku University)
08:40200 Etch Rates and Etch Selectivities of p^{++}$ Doped Si, Undoped Si and Dielectric Films in KOH-Ethylene Glycol-Water and TMAH-Ethylene Glycol-Water Solutions - J.S. Starzynski (Honeywell Electronic Chemicals)
09:00201 Fabrication of Hard Magnetic Micro-arrays by Electroless Co-deposition for MEMS Actuators - S. Guan (University of Minnesota) and B. Nelson (ETH)
09:20202 Formation of Diffusion Barrier Layer on Low-K Material Using All-Wet Fabrication Process - T. Osaka, M. Yoshino, T. Yokoshima (Waseda University), A. Hashimoto, and Y. Hagiwara (Tokyo Ohka Kogyo Co., Ltd.)
10:00203 Kinetics of Cobalt Nucleation on Copper Using Borane Based Reducing Agents - S. Mayer (Novellus Systems, Inc.)
10:20204 Synthesis of Ag/Pd Nanoparticles via Reactive Micelle and its Application to Electroless Plating - C.-C. Yang, Y.-Y. Wang, and C.-C. Wan (National Tsing Hua University)
10:40205 The Study on the Mechanism of Palladium Activated Electroless Copper Deposition - Y.-J. Oh and C.-H. Chung (Sungkyunkwan University)
11:00206 Immersion Plating of Bismuth on Tin-based Alloys for Stabilizing Lead-Free Solders - E. Cooper (IBM Research), C. Goldsmith, C. Mojica, S. Kilpatrick, and R. Alley (IBM Microelectronics Division)
11:20207 Influence Of Build-up Epoxy Layer Surface Roughness On The Adhesion Strength Of Electrochemically Deposited Copper. - S. Siau, A. Vervaet, A. Van Calster, and E. Schacht (University Gent)
11:40208 The Mechanism of Activation of Nonmetallic Materials and the Effect of Surface Pretreatment and Annealing on the Properties of Electrolessly Deposited Ni-P Alloy - T.N. Khoperia, T.I. Zedginidze, L.G. Maisuradze, and G.A. Ramishvili (Georgian Academy of Sciences)