204th Meeting of The Electrochemical Society, Co-sponsored in Part by the Electronics Division of The American Ceramic Society

October 12-October 16, 2003

PROGRAM INFORMATION

M1 - Sixth International Symposium on Chemical Mechanical Polishing

Electronics/Dielectric Science and Technology/Electrodeposition

Monday, October 13, 2003

Yeoman Room, Lobby Level

Cu-Chemical Mechanical Polishing

Co-Chairs: S. Seal and R. Opila

TimeAbs#Title
10:00894 The Impact of Copper Microstructure on Chemical Mechanical Planarization and Electrical Performance. - K. Cooper, J. Flake, and C. Prindle (Motorola)
10:30895 Abrasive Particle Effect on the Planarization of Cu Film - S. Chang, I. Butch, G. Banerjee, D. Tamboli, M. Waddel, and S. Hymes (Ashland Inc.)
10:45896 Post-CMP Challenges for Cu-Low K Integration - D. Tamboli, G. Banerjee, M. Waddell, J. Listebarger, Q. Arefeen, and S. Hymes (Ashland Inc.)
11:00897 Effect of Additives in Post Cu CMP Cleaning Solutions on Particle Adhesion and Removal - Y.K. Hong, D.H. Eom, S.H. Lee, J.G. Park (Hanyang University), and A.A. Busnaina (Northeastern University)
11:15898 The Role of Chemical Components in Copper CMP Slurries - Y. Luo, T. Du, and V. Desai (University of Central Florida)
11:30899 Comparison of Copper Disc and Copper Wafer Polishing Processes in of Terms their Kinetic, Tribological - Z. Li (University of Arizona), S. Rader, P. Lefevre (Fujimi Corporation), K. Ina (Fujimi Incorporated), and A. Philipossian (University of Arizona)
11:45900 Electrochemical and Frictional Behaviors of Cu Surface in Organic Acid Based Slurries During Copper CMP - D.-H. Eom, J.-S. Ryu, Y.-K. Hong (Hanyang University), J.-J. Myung, K.-S. Kim (Dong-Woo Fine-Chem. Co. Ltd.), and J.-G. Park (Hanyang University)

Metal-Chemical Mechanical Polishing

Co-Chairs: D. Watts and K. Sundaram

TimeAbs#Title
2:00901 CMP of Low Dielectric Constant Polymers and Organosilicate Glasses- Fundamental Mechanisms and Copper Damascene Implications - R.J. Guttman (Rensselaer Polytechnic Institute), C.L. Borst (Texas Instruments), and W.N. Gill (Rensselaer Polytechnic Institute)
2:30902 Chemical-mechanical Planarization of Copper: Role of Oxidants and Inhibitors - S. Kuiry, S. Deshpande (University of Central Florida), Y. Obeng (PsiloQuest Inc.), and S. Seal (University of Central Florida)
2:45903 Heating and Convection in Copper Polishing - L. Borucki (Intelligent Planar), Z. Li, and A. Philipossian (University of Arizona)
3:00904 Electrochemical Aspects of Copper Chemical Mechanical Planarization (CMP) in Peroxide Based Slurry - Y. Ein-Eli, E. Abelev, and D. Starosvetsky (Technion-Israel institute of Technology)
3:15905 Copper Chemical Mechanical Planarization Processes in Carbon Dioxide - G. Denison, P. Visintin (University of North Carolina), C. Bessel (Villanova University), R. Murray, and J. DeSimone (University of North Carolina)
3:30 Fifteen-minute Intermission
3:45906 Colloids and Surfaces in Chemical Mechanical Polishing: An Adsorptive Model for Abrasive Particle/Oxide Substrate Interactions - K. Osseo-Asare (The Pennsylvania State University)
4:15907 Post Cu-CMP Defects: Organic Residue - Sources and Potential Solutions - A. Jain, G.S. Toh, A. Lau, and E. Goh (Applied Materials)
4:30908 Determining the Effects of Slurry Surfactant, Abrasive Size and Content on the Tribology and Kinetics of Copper CMP - Z. Li (University of Arizona), K. Ina (Fujimi Incorporated), P. Lefevre (Fujimi Corporation), and A. Philipossian (University of Arizona)
4:45909 Determining the Effect of Slurry Flow Rate on the Tribological, Thermal and Removal Rate Attributes of Copper CMP - Z. Li (University of Arizona), L. Borucki (Intelligent Planar), and A. Philiopssian (University of Arizona)
5:00910 Polishing Studies of Tantalum on Zirconia Substrate for Meso-scale Device Applications - R. Peelamedu, S. Sood, E. Dein, K. Sundaram (University of Central Florida), B. Nguyenphu (TAI of Orlando), and S. Nieczkoski (Technology Applications Inc.)
5:15911 Effect of Oxidizer on Copper And Tantalum in Copper CMP - D.-W. Lee, N.-H. Kim (Chung-Ang University), S.-Y. Kim (Yeojoo Institute of Technology), T.-H. Kim (Dongbu Anam Semiconductor, Inc.), and E.-G. Chang (Chung-Ang University)
5:30912 Optimization by Concentration of Oxidizer and Complexing Agent in Cu CMP Slurry - I.-P. Kim, N.-H. Kim (Chung-Ang University), J.-H. Lim (Dongjin Semichem. Co., Ltd.), S.-Y. Kim (Dongbu-Anam Semiconductor. Inc.), and E.-G. Chang (Chung-Ang University)

Tuesday, October 14, 2003

CMP Consumables

Co-Chairs: M. Moinpur and A. Philipossian

TimeAbs#Title
8:15913 Performance-Surface Characteristics of psiloQuest’s Application Specific Pads for Chemical Mechanical Planarization - Y. Obeng (PsiloQuest)
8:45914 In-situ Recycle of Used Oxide Slurry for Production - J.G. Park (Hanyang University), K.J. Lee (New Young), and M.S. Kim (Hynix Semiconductor)
9:00915 Fixed Abrasive Direct STI CMP Allows Elimination of the Conventional Subpad Compromise for Edge NU and WID Ranges - J. Gagliardi (3M)
9:15916 Impact of CMP Consumables on Copper Metallization Reliability - Y. Obeng (PsiloQuest Inc.)
9:30 Forty Five-Minute Intermission
10:15917 Effect of Novel Pad Texture Designs on Tribology and Removal Rate Characteristics of ILD CMP - D. Rosales-Yeomans (University of Arizona), T. Doy (Saitama University), M. Kinoshita (Rodel-Nitta Company), and A. Philipossian (University of Arizona)
10:30918 Analysis of Frictional Heating of Grooved and Flat CMP Polishing Pads - L. Borucki (Intelligent Planar), L. Charns, and A. Philipossian (University of Arizona)
10:45919 Evaluation of the Properties of Polyurethane Pads and their Correlation to the Performance in the CMP Process - P. Zantye, A. Sikder, A. Kumar, A. Belyaev, I. Tarasov, and S. Ostapenko (University of South Florida)
11:00920 Effect of Slurry Characteristics on Nanotopography Impact in Chemical Mechanical Polishing - T. Katoh, S.-J. Kim, U. Paik, and J.-G. Park (Hanyang University)
11:15921 Colloidal Aspects of CMP - T. Gopal and J. Talbot (University of California, San Diego)
11:30922 Further Investigation of Effects of pH on Silicon Dioxide Chemical Mechanical Polishing (CMP) - W. Choi, S.-M. Lee, and R. Singh (University of Florida)
11:45923 Effects of Abrasive Morphology and Surfactant in Nano-Ceria Slurry for Shallow Trench Isolation Chemical Mechanical Polishing - H.-G. Kang, T. Katoh, W.-M. Lee, U. Paik, and J.-G. Park (Hanyang University)

CMP Characterization- Detection, Modeling, Electrical and Mechanical Characterization

Co-Chairs: Y. Obeng and R. Singh

TimeAbs#Title
2:00924 The Spectral Fingerprints and the Sounds of CMP - D. Rosales-Yeomans (University of Arizona), T. Doy (Sataima University), and A. Philipossian (University of Arizona)
2:30925 Post-CMP Defect Detection: Set-up and Validation of a Recipe for Surface Scanning Laser Systems - D. Lodi, D. Caputo (STMicroelectronics), and G. Lorenzi (KLA-Tencor Italy)
2:45926 Electrochemical Characterization of Copper and Tantalum Chemical Mechanical Planarization - J. Liu, M. King, M. Darsillo, and T. Baum (ATMI, Inc.)
3:00927 Tribological and Removal Rate Characterization of Colloidal vs. Fumed Silica Slurries in ILD CMP - M. Sugiyama, D. King, L. Charns (University of Arizona), J. Degraffenreid, H. Nguyen-Ngoc (STMicroelectronics), and A. philipossian (University of Arizona)
3:15 Fifteen-Minute Intermission
3:30928 Analytical and Functional Characterization of Recycled Fumed Silica Slurries in ILD CMP - P. Levy (University of Arizona), S. Rader, P. Lefevre (Fujimi Corporation), K. Ina (Fujimi Incorporated,), F. Shadman, M. Sugiyama, and A. Philipossian (University of Arizona)
3:45929 RTP Process to Reduce NMOS to PMOS Isolation Step height Delta - A. Khoueir, M. Khoury, A. Zagrebelny, I. Sen, J. Fulford, and D. Arnzen (Cypress Semiconductor Inc.)
4:00930 Study of Over-polishing at the Edge of a Pattern in Selective CMP - J.-H. Park, D.-W. Park, J.-D. Lee, C. Hong, W.-S. Han, and J.-T. Moon (Samsung Electronics Inc,)
4:15931 Characterization of the Chemical Interactions on Copper Chemical Mechanical Polishing - S.-M. Lee, J. Abiade, W. Choi, and R. Singh (University of Florida)
4:30932 Combining a Finite Element Model and a Removal Model to Evaluate the Effect of Wafer and Pad Shape on Removal in CMP. - J. McGrath and C. Davis (University of Limerick)
4:45933 Molecular Dynamics Computer Simulations Of Chemical-Mechanical Polishing In The Presence Of Moisture - S. Garofalini and S. Lee (Rutgers University)
5:00934 Modeling Dielectric Erosion in Multi-Step Copper Chemical-Mechanical Polishing - K. Noh, N. Saka, and J.-H. Chun (Massachusetts Institute of Technology)
5:15935 Statistical Validation of a RTDC Algorithm for Shallow Trench Isolation Post-CMP Micro-scratch Detection and Classification Using a Laser Scanning Surface System - D. Lodi, D. Caputo (STMicroelectronics), and G. Lorenzi (KLA-Tencor Italy)
5:30936 Estimation of W Polishing Characteristics During W-CMP with Iodate-Based Slurries - M. Anik and K. Osseo-Asare (The Pennsylvania State University)