201st Meeting - Philadelphia, PA

May 12-17, 2002

PROGRAM INFORMATION

I1 - Fifth International Symposium On Chemical Mechanical Polishing (CMP)

Dielectric Science and Technology/Electronics/Electrodeposition

Tuesday, May 14, 2002

Conference Room 308, Level 3

CMP Consumables: Pads

Co-Chairs: S. Seal and T. Cale

TimeAbs#Title
8:00372 Effect of Various Pad Conditioning Parameters on Coefficient of Friction and Removal Rate for ILD CMP Applications - A. Philipossian and L. Charns (University of Arizona)
8:20373 Characterization of "In-Process" Degradation of Polyurethane CMP Pads - Y. Obeng (PSiloQuest,Inc.), J. Ramsdell, S. Machinsky, H. Lu, K. Richardson, and S. Seal (University of Cental Florida)
8:50374 Effects of Water Interactions with Polishing Pads on Wafer-Scale Chemical Mechanical Planarization Rates - D. Castillo-Mejia, S. Gold, V. Burrows, and S. Beaudoin (Arizona State University)
9:10375 Characterization of Segmented Polyurethane Surface Domains as related to Chemical Mechanical Polishing (CMP) - J. Ramsdell and S. Seal (University of Central Florida)
9:30376 Polish Rate, Pad Surface Morphology and Pad Conditioning in Oxide Chemical Mechanical Polishing - A.S. Lawing (Rodel, Inc)
10:00377 Removal of Copper Films in Hydroxylamine and Hydrogen Peroxide Solutions Using a Fixed Abrasive Pad - W. Huang, S. Tamilmani, S. Raghavan, M. Hart, C. Anderson (University of Arizona), and R. Small (EKC Technology, Inc.)

CMP of Cu

Co-Chairs: V. Desai and K. Sundaram

TimeAbs#Title
1:40378 Photo-enhanced Electrochemical Mechanical Polishing for Cu Damascene - H. Yano, Y. Matsui, and G. Minamihaba (Process & Manufacturing Engineering Center, Semiconductor Company, Toshiba Corporation)
2:00379 Electrochemistry of Copper in the Chemical Mechanical Planarization (CMP) Slurries Containing Glycine and Hydrogen Peroxide - S. Aksu and F.M. Doyle (University of California at Berkeley)
2:20380 The Influence of the Selectivity in a 2nd Step Slurry for a Cu CMP Process - B. Sijmus, I. Vos, V. Terzieva, M. Meuris, and J.L. Hernandez (Imec)
2:40381 Kinetics of the Formation of Cu(I)BTA Films - A. Al-Hinai and K. Osseo-Asare (The Pennsylvania State University)
3:00382 Characterization and Control of Copper/Barrier Chemical Mechanical Polishing In Damascene Processing Using Non-contact Capacitive Measurements - R. Carpio (International Sematech), T. Tran (ADE Corporation), G. Martin (Motorola), and R. Estrada (International Sematech)

CMP of Barriers

Co-Chairs: Y. Obeng and R. Opila

TimeAbs#Title
3:20383 Electrochemical Interactions in Metal Planarization Technologies - D. Tamboli, S. Chang, I. Butcher, M. Evans, Q. Arefeen, and S. Hymes (Ashland Specialty Chemicals)
3:50384 Electropolishing of Titanium - B. Chou, R. Jain, D. McGervey, U. Landau, and G. Welsch (Case Western Reserve University)
4:10385 Role of Hydrogen Peroxide and Glycine on Ta-CMP - S. Kuiry, S. Seal, E. Megen, and V. Desai (University of Central Florida)
4:30386 Electrochemical Studies on the Selectivity of Tantalum Barrier Layer in Copper CMP - V. Desai (University of Central Florida), D. Tamboli (Ashland Specialty Chemical Company), V. Chathapuram, K. Sundaram, and A. Sathyapalan (University of Central Florida)
4:50387 Electrochemical Studies on Ti/TiN Barrier Layer CMP - V. Chathapuram, K. Sundaram, A. Sathyapalan (University of Central Florida), D. Tamboli (Ashland Specialty Chemical Company), and V. Desai (University of Central Florida)

Wednesday, May 15, 2002

CMP Characterization

Co-Chairs: K. Osseo-Asare and A.S. Lawling

TimeAbs#Title
8:00388 Mean Residence Time Analysis of CMP Processes - A. Philipossian and E. Mitchell (University of Arizona)
8:20389 Ellipsometry Characterization of Copper Complex for Abrasive-free CMP Process - T. Saitoh, H. Nishizawa (Tokyo A&T University), J. Amanokura, and M. Hanazono (Hitachi Chemical Co.)
8:40390 Determining the Effect of Wafer Geometry and Thermal History on Pressure Distributions on Wafer Surface during CMP - A. Philipossian (University of Arizona), M. Goldstein (Intel Corporation), S. Beaudoin (Arizona State University), and J. Sorooshian (University of Arizona)
9:00391 Nanotopography Effect of Improved Single-Side-Polished Wafer on Oxide CMP - T. Katoh, J.-G. Park, J.-H. Park, and U.-G. Paik (Hanyang University)
9:20392 Spectral Analysis Method for Nanotopography Impact on Pad and Removal Depth Dependency in Oxide CMP - J.-G. Park, T. Katoh, H.-C. Yoo (Hanyang University), and U.-G. Paik (Intelligent Powder Processing)
9:40393 Multiscale Mechanical Modeling of CMP - J. Seok (Rensselaer Polytechnic Institute), C. Sukam (Rensselaer Polttechnic Institute), J. Tichy (Rensselaer Polytechnic Institute), and T. Cale (Rensselaer Polttechnic Institute)

CMP Consumables: Slurries

Co-Chairs: A. Philipossian and I. Suni

TimeAbs#Title
1:40 Discussion by D.H. Eom -
2:00394 Passivation and Etching Behaviors of Copper Surface in Copper CMP Slurries - D.-H. Eom, Y.-K. Hong, S.-H. Lee, J.-Y. Park (Hanyang University), J.-J. Myung (Dong-Woo Fine-Chemical Company), and J.-G. Park (Hanyang University)
2:20395 Effect of Slurry Dilution and Flow Rate on Coefficient of Friction and Removal Rate for ILD CMP Applications - A. Philipossian and S. Olsen (University of Arizona)
2:40396 Chemical Mechanical Polishing for Copper in Hydrogen Peroxide-based Slurries - S.-C. Yen and T.-H. Tsai (National Taiwan University)
3:00397 Slurry Dependent Variation of Zeta Potential and Open Circuit Potential of Different Metal Surfaces During Chemical Mechanical Planarization - G. Banerjee and B. Johl (Rodel Inc.)
3:30 Discussion by Babu -
3:50398 Cerium Oxide Slurries in Chemical Mechanical Polishing: Silica/Ceria Interactions - P. Suphantharida and K. Osseo-Asare (The Pennsylvania State University)