203rd Meeting - Paris, France

April 27-May 2, 2003

PROGRAM INFORMATION

F2 - Thin Film Materials, Processes, and Reliability: Plasma Processing for the 100 nm Node and Copper Interconnects with Low-k Inter Level Dielectric Films

Dielectric Science and Technology/Electronics

Wednesday, April 30, 2003

Room 253, Level 2, Le Palais des Congres

Plasma Processing

Co-Chairs: G.S. Mathad and V. Bakshi

TimeAbs#Title
8:20392 Investigation on the OH Influence for the Dielectric Constant Evolution in Low K Films Prepared by PECVD in DECR Reactor - Z. Aziz, R. Patrice (UPS), S. Salah, R. Saida (Universite Mentouri), and S. Yvan (UPS)
8:40393 Plasma Treatment Enhanced Stress Behavior, Chemical and Thermal Stability of Advanced SiC:H Film - B. Remiat (ST-Microelectronics Central R and D), F. Gaillard (Applied Materials), F. Fusalba (ST-Microelectronics Central R and D), J. Durand (Institut Europeen des Membranes), V. Jousseame (CEA-Grenoble), and G. Passemard (ST-Microelectronics Central R and D)
9:00394 Effect of Plasma Sulfur Activation of SiLK on the Adhesion of Copper - D.-L. Bae, J. Senkevich, Y. Kwon, and T. Cale (Rensselaer Polytechnic Institute)
9:20395 Gas-Phase and Surface Reactions in Plsma Enhanced Chemical Etching of High-K Dielectrics - L. Sha and J. Chang (UCLA)
9:40 Twenty-Minute Intermission
10:00396 A Comparative Study of the Etching Behavior of Thin AlN and Al2O3 Films - M. Engelhardt (Infineon Technologies)
10:20397 Etching of Low-Interconnect Materials for Next Generation Devices - T. Chevolleau, O. Joubert, L. Vallier, N. Posseme (LTM-CNRS), and I. Thomas (ST Microelectronics)
10:50398 Study of Porous SIOC Modification after Ashing Processes - N. Posseme, T. Chevolleau, L. Vallier, O. Joubert (LTM/CNRS), and I. Thomas-Boutherin (ST Microelectronics)
11:10399 Resist Transformation under Low-K Dielectric Plasma Patterning Processes : Impact on the Process Control - E. Pargon, N. Posseme, L. Vallier, and O. Joubert (LTM/CNRS)
11:30400 Study of Effect of Plasma Etching on LER - V. Bakshi (International SEMATECH, Inc.)
11:50401 Modeling Investigation of Plasma Clean Processes - D. Zhang, D. Denning, and I. Shahvandi (Motorola, Inc.)

Copper Deposition, Barrier, and Low-k Films

Co-Chairs: F. Leverd and O. Joubert

TimeAbs#Title
13:40402 Preparation of Cu Films on Polymer Substrate by ECR-MOCVD Coupled with DC Bias at Room Temperature - J.K. Lee (Korea Institute of Science and Technology), J. Hyun, H. Ko, D. Byun (Korea University), B.W. Cho, and D. Park (Korea Institute of Science and Technology)
14:00403 Effect of Novel Plasma Treatment on Superfilling Behavior in Chemically Enhanced CVD (CECVD) CU Process - S.G. Pyo, W.S. Min, D.W. Lee, S. Kim, and J.-G. Lee (Hynix Semiconductor)
14:20404 A Model of Copper Deposition for the Damascene Process - C. Gabrielli, J. Kittel, P. Mocoteguy, H. Perrot (Universite Paris 6), A. Zdunek, P. Bouard, M. Haddix, L. Doyen (Air Liquide), and M.C. Clech (ALTIS Semiconductor)
14:40405 ECD Seed Copper Layer for Seed Enhancement in Advanced Interconnects - S. Da Silva, M. Cordeau, P.H. Haumesser (CEA Grenoble), X. Avale, O. Pollet (Semitool, Inc.), T. Mourier, G. Passemard (CEA Grenoble), R. Baskaran, and T. Ritzdorf (Semitool, Inc.)
15:00406 Microstructural Evolution at Room Temperature in Electrodeposited Copper Metallization - S. Ahmed and D.N. Buckley (University of Limerick)
15:50407 “Seedless” Electrochemical Deposition of Copper on Liner Materials for ULSI Devices - D.J. Duquette, S. Kim (Rensselaer Polytechnic Institute), and M.J. Shaw (Sandia National Laboratory)
15:50 Twenty-Minute Intermission
16:10408 A New Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier with NH3 Pulse Plasma and WF6 Gas - Y.T. Kim, H.S. Sim, and S.-I. Kim (Korea Institute of Science and Technology)
16:30409 Nanostructured Ta-Si-N Thin Films as Diffusion Barriers Between Cu and SiO2 - L.W. Lai, C.C. Chang, J.-S. Chen (National Cheng Kung University), and Y.K. Lin (National Tsing Hua University)
16:50410 Selectivity Studies on Tantalum and Tantalum Nitride Barrier Layer in Copper CMP - A. Vijayakumar, T. Du (University of Central Florida), K. Sundaram (Universitu of Central Florida), and V. Desai (University of Central Florida)
17:10411 Investigation of Barrier Layers for Cu - Ultra Low k Porous SiLK TM Integration - L.Y. Yang and D.H. Zhang (Nanyang Technological University)
17:30412 Introducing Advanced ULK Dielectric Materials In Interconnects: Performance And Integration Challenges - F. Fusalba (ST-Microelectronics), V. Jousseaume (CEA-LETI), B. Remiat (ST-Microelectronics), C. Lecornec (CEA-LETI), P. Maury, and G. Passemard (ST-Microelectronics)

Salon Concorde Monceau, Level 4, Le Palais des Congres

Informal Discussion: Integration Challenges of Copper with Porous Low-k Films

Co-Chairs: M. Fury and H. Rathore

TimeAbs#Title
19:00 Panel Discussion on Integration Challenges of Copper w/Porous Low-k

Thursday, May 1, 2003

Room 253, Level 2, Le Palais des Congres

Low-k Films, Copper CMP, and Reliability

Co-Chairs: H. Rathore and G. Banerjee

TimeAbs#Title
8:00413 X-ray Photoelectron Spectroscopic Study of Surface Modification of SiLK* by Diluted Oxygen Under UV-irradiation - Y. Uchida, T. Fukuda, and H. Yanazawa (Association of Super-Advanced Electronics Technologies)
8:20414 Surface Modification and Material Degradation of Porous Low-K and Polymer Dielectrics - Q.T. Le, C. Whelan, S. Brongersma (IMEC), and K. Maex (Katholieke Universiteit Leuven)
8:40415 Comparison of Water Sorbability of Various Dielectric Films by Thermal Desorption Spectroscopy - H. Yanazawa, Y. Uchida, T. Fukuda, and H. Itoh (Association of Super-Advanced Electronic Technologies)
9:00416 The Roles of Complexing Agents on Copper CMP - T.E. Kim, G. Lim, J.H. Lee, J. Kim, and H.W. Lee (Korea Institute of Science and Technology)
9:20417 The Effect of Inhibitor and Complexing Agents on Cu CMP - Y. Luo, T. Du, and V. Desai (University of Central Florida)
9:40 Twenty-Minute Intermission
10:00418 Role of Oxidizer and Inhibitor on Chemical Mechanical Planarization of Copper - S. Kuiry and S. Seal (University of Central Florida)
10:20419 Evaluation of Fixed Abrasive Technology for 90nm Node Cu/low-k CMP Process - S.-C. Hu, T.-C. Tsai, F. Yang, C.-F. Dai, C.-C. Huang, G. Li, and T.-R. Yew (UMC CRD1/Adv. TF)
10:40420 Effect of Abrasive Particles on Chemical Mechanical Polishing Performance - D. Tamboli, G. Banerjee, S. Chang, M. Waddell, I. Butcher, Q. Arefeen, and S. Hymes (Ashland Specialty Chemicals)
11:00421 Investigation of Copper Permeability into Interlayer Dielectrics by Copper CMP Process - T. Miyazawa, I. Kobayashi (Nissan Chemical Industries, LTD.), M. Uchida, M. Fujimoto, and T. Hara (Hosei University)
11:20422 Electrochemical Planarization of Copper - T. Du and V. Desai (University of Central Florida)
11:40423 A Multiscale Mechanical CMP Model for Patterned Wafers - C. Sukam, J. Seok, A. Kim, J. Tichy, and T. Cale (Rensselaer Polytechnic Institute)

Low-k Films and Advanced Interconnects

Co-Chairs: M. Engelhardt and T. Cale

TimeAbs#Title
13:40424 Industry Challenges in Post-Etch Cleaning Chemistries for Advanced Copper/Low-\kappa Applications - M. Fury (DuPont EKC Technology)
14:10425 Cleaning of Copper Surface using Vapor-Phase Organic Acids - T. Yagishita, K. Ishikawa, and M. Nakamura (Association of Super-advanced Electronics Technologies)
14:30426 An Improvement of I-V characteristics in Cu-damascene Interconnects by Use of Supercritical Fluid Treatments - I. Kato, M. Kawagoe, S. Shishiguchi, T. Fukuda, and H. Yanazawa (Association of Super-Advanced Electronics Technologies)
14:50427 Characterization of Low-k Materials in Terms of Copper Contamination by Copper Electroplating Solution - M. Shudo, Y. Fujita, T. Miyazawa, I. Kobayashi (Nissan Chemical Industries, Ltd.), and T. Hara (Hosei University)
15:10428 Time-Zero Failure Current Measurement for Early Monitoring of Defective Cu Lines at Wafer Level - J.H. Park and B.T. Ahn (Korea Advanced Institute of Science and Technology)
15:30429 3-D Electromigration Simulation and Modeling in Copper - Low-K Multilevel Interconnect - V. Sukharev, R. Choudhury, and C. Park (LSI Logic Corporation)
15:50 Twenty-Minute Intermission
16:10430 Electromigration Charcteristics of Copper Damascene Interconnects Integrated with SiLK, Low k-Dielectric - H.S. Rathore, D.B. Nguyen, and B. Agarwala (IBM Microelectronics)
16:30431 The Impact of Wafer-Level Layer Transfer on High Performance Devices and Circuits for 3D IC Fabrication - K. Guarini, A. Topol (IBM), M. Ieong, R. Yu (IBM Microelecctronics), L. Shi, D. Singh, G. Cohen (IBM), H. Pogge (IBM Microelecctronics), S. Purushothaman, and W. Haensch (IBM)
17:00432 Wafer Bonding and Thinning Integrity for 3D-IC Fabrication - Y. Kwon, A. Jindal, J. McMahon, T. Cale, R. Gutmann, and J.-Q. Lu (Rensselaer Polytechnic Institute)
17:20433 3D-Integration of Integrated Cicuits by Interchip Vias (ICV) and CU/SN Solid Liquid Interdiffusion (Solid) - P. Ramm, K. Armin, and W. Robert (Fraunhofer Institute IZM, Munich)
17:40434 3D System-on-a-Chip using Dielectric Glue Bonding and Cu Damascene Inter-Wafer Interconnects - J.J.-Q. Lu, T. Cale, and R. Gutmann (Rensselaer Polytechnic Institute)

Level 2 Hallway, Le Palais des Congres

Thursday Evening Poster Session

TimeAbs#Title
o435 Pulsed Liquid Injection MOCVD of Magnesium Oxide Thin Films - S. Thollon, E. Rouviere, F. Emieux (Commissariat a l'Energie Atomique de Grenoble), and H. Guillon (JIPELEC)
o436 Electroless Metallization of Hydrogen-Terminated Silicon Surface Functionalized by Viologen - W.H. Yu, E.T. Kang, and K.G. Neoh (National University of Singapore)
o437 The Stability of Carbon-Doped Silicon Oxide Low Dielectric Constant Thin Films - Y. Wang and R. Kumar (Institute of Microelectronics)
o438 Nanoporous Low-k Polyimide Films Prepared from Poly(acrylic acid)- and Poly(ethylene glycol)-grafted-Poly(amic acid) Copolymers - W.C. Wang, E.T. Kang, K.G. Neoh, C.K. Ong, and L.F. Chen (National University of Singapore)
o439 Kinetic Modeling for Multi-Component Thin Film Growth in Plasma Enhanced Atomic Layer Deposition - J.-H. Kim, J.-Y. Kim, P.-K. Park, and S.-W. Kang (Korea Advanced Institute of Science and Technology)
o440 Atomic Layer Deposition of Ruthenium Glue Layer for Copper Damascene Interconnect - O.-K. Kwon, J.-H. Kim, and S.-W. Kang (Korea Advanced Institute of Science and Technology)
o441 Annealing Characteristics of Copper Films for Power Device Applications - L. Castoldi, G. Visalli, S. Morin (STMicroelectronics Srl), T. Fukada, O. Brux, M. Ouaknine, E.H. Roh, and W.S. Yoo (WaferMasters, Inc.)
o442 Nickel Silicide Formation Using a Stacked Hotplate-Based Low Temperature Annealing System - T. Murakami (WaferMasters Service Factory), B. Froment (STMicroelectronics Srl), M. Ouaknine, and W.S. Yoo (WaferMasters, Inc.)
o443 Investigation of the Plasma Etching-Induced Pore Structure Transformation and Diffusion of Fluorine in Porous Low-k Thin Films - K.H. Lee, J.-H. Rhee, S.K. Mah, J.-B. Kim, J.-H. Yim, H.-J. Shin, Y.-S. Chung, and H.-D. Jeong (Samsung Advanced Institute of Technology)
o444 TiZrN as a Copper Barrier for 0.13 and 0.09uM Technology Nodes - D. Denning, I. Shahvandi, C. Prindle, and L. Svedberg (Motorola Inc.)
o445 Modeling of Thermal Dynamics and Mechanical Stress in 3D-IC Structure - J. Zhang, J.-Q. Lu, R. Gutmann, and T. Cale (Rensselaer Polytechnic Institute)
o446 Chemical Mechanical Planarization of Ruthenium for Capacitor Bottom Electrode in DRAM Technology - S.-H. Lee, Y.-J. Kang, J.-G. Park (Hanyang University), S.-I. Lee, and W.-J. Lee (Hynix Semiconductor Inc.)
o447 Study of Plasma Immersion Ion Implantation Induced Damage on Ultra-thin Gate Dielectrics - A. Prasad, V. Agarwal, R. Dusane, and R. Rao (Indian Institute of Technology, Bombay)
o448 The Effect of Oxygen Plasma Treatment on Methylsilesequiazane (MSZ) Material for CMP Process - P.-T. Liu (National Nano Device Laboratory), T.-C. Chang (National Sun Yat-Sen University), T.-M. Tsai, S.-T. Yan (National Chiao Tung University), H. Aoki (Clariant Corp.), and T.-Y. Tseng (National Chiao Tung University)
o449 Incidence of Various Deposition Parameters on the Structural Properties of Y_2O3 Grown by Pulsed Injection PE-MOCVD - C. Vallee, C. Durand, M. Bonvalot, O. Joubert (CNRS), and C. Dubourdieu (LMGP)
o450 Structural and Electrical Characteristics of Low-Dielectric Constant Porous Hydrogen Silsesquioxane for Cu Metallization - J.-H. Wang (National Tsing Hua University)
o451 Experimental Detection of the Chemical Mechanical Polishing (CMP) Process End Point for Different Interconnect Materials - A. Sikder, P. Zantye, and A. Kumar (Nanomaterials and Nanomanufacturing Research Center)