2004 Joint International Meeting

October 3-October 8, 2004

PROGRAM INFORMATION

J1 - Thin Film Materials, Processes, and Reliability

Dielectric Science and Technology/Electronics/Electrodeposition

Tuesday, October 5, 2004

Rainbow 3, Lower Level, Rainbow Tower

Plasma Processes

Co-Chairs: G.S. Mathad and L. Chen

TimeAbs#Title
14:00899 Advanced Dielectric Etch Using 200/300-mm Low Residence Time GrovyICP™ Etcher - G. Vinogradov, V. Menagarishvili, A. Kelly, and Y. Hirano (FOI Corporation)
14:20900 Pressure-dependence of Radical Densities in Low Pressure Ranges in Plasma Processing - M.T. Kim (Korea Electric Power Research Institute)
14:40901 Etching of Dual Doped Poly-Si Gate Employing Novel Microwave Plasma Generation with RLSA - T. Nishizuka, T. Nozawa, K. Ishibashi (Tokyo Electron,LTD), T. Goto, and T. Ohmi (Tohoku University)
15:00902 Johnsen Rahbek Chucking Capability Study - F. Granados (Applied Materials) and S. Viswanathan (Cypress Semiconductor)
15:20903 Effects of Chamber Wall Conditions on Plasma Etching Processes - E. Aydil (University of California Santa Barbara), T.W. Kim (General Electric Company), S. Ullal, and H. Singh (Lam Research Corporation)
15:40 Twenty-Minute Intermission
16:00904 The Effect of Photoresist Outgassing on Dielectric Etch - K. Gunturu, C. Belisle, S. Donaldson, T. Corsetti, and J. Prasad (AMI Semiconductor)
16:20905 Etching of High Aspect Ratio Structures in Silicon - J. Belen, S. Gomez (University of California Santa Barbara), M. Kiehlbauch, D. Cooperberg (Lam Research Corporation), and E. Aydil (University of California Santa Barbara)
16:40906 Etch Rates and Etch Selectivities of a Nonvolatile Hafnium Oxide Etchant - J.S. Starzynski (Honeywell Electronic Chemicals)
17:00907 Optimizing Ru Dry Etch for High-k Metal Electrode MOS Devices - C. Huffman (International Sematech), L. Chen (Tokyo Electron America), V. Vartanian (Motorola), N. Chaudhary, and P. Majhi (International Sematech)
17:20908 The Effects of Plasma Treatments on the Properties of Ultra Low-k Dielectrics and Ta Diffusion Barrier - R. Kumar (Institute of Microelectronics) and T. Wong (Nanyang Technological University)

Wednesday, October 6, 2004

Copper Interconnects

Co-Chairs: H.S. Rathore and P.S. Ho

TimeAbs#Title
10:00909 Scaling Trends and Advances in Metals Technologies for On-Chip Interconnects - V. Dubin (Intel Corporation)
10:40910 Electrochemical Processing for Adherent Copper Deposition Directly on Air-exposed TaN for ULSI Applications - S. Kim (Rensselaer Polytechnic Institute) and D. Duquette (Renssealer Polytechnic Institute)
11:00911 Pretreatment for Copper Electroless Plating on Tantalum Substrate - S.H. Cha, C.H. Lee, and J.J. Kim (Seoul National University)
11:20912 Bottom-up Fill of Copper in Deep Sub Micron Holes by Electroless Plating with Addition of Alkane-Thiol as an Inhibitor - S. Shingubara, Z. Wang, R. Obata, H. Sakaue, and T. Talahagi (Hiroshima University, Graduate school of ADSM)
11:40913 Spontaneous Morphology Change in Electrodeposited Copper Films during Room-Temperature Aging - S. Nakahara, S. Ahmed, and D.N. Buckley (University of Limerick)

Copper Interconnects

Co-Chairs: M. Engelhardt and K. Kondo

TimeAbs#Title
14:00914 Stress-Accelerating Defects with a Cu/porous low-k Structure: Possibility of SCC (Stress Corrosion Cracking) of Copper during CMP - M. Kodera, S.-I. Uekusa (Meiji University), H. Nagano, K. Tokushige, S. Shima, A. Fukunaga, M. Tsujimura (Ebara Co.), A. Fukuda, Y. Mochizuki, and H. Hiyama (Ebara Research Co.)
14:20915 Corrosion Observations during the Processing of Cu Interconnects - H. Guo and J. McPherson (Texas Instruments)
14:40916 Accelerated Crack Growth of Nanoporous Low-k Glasses in Aqueous Solutions - E. Guyer and R. Dauskardt (Stanford University)
15:00917 Impact of Low k Dielectrics on Cu Interconnect and Packaging Reliability - P.S. Ho (University of Texas at Austin), K.-D. Lee (Texas Instruments), S. Yoon, and G. Wang (University of Texas at Austin)
15:40 Twenty-Minute Intermission
16:00918 Reliability of Cu Interconnects with CVD Low k BOEL Dielectric - H.S. Rathore, D.B. Nguyen, B. Agarwala, K. Chanda, and R. Filippi (IBM Microelectronics)
16:40919 PECVD porous carbon doped oxide deposition from new precursors and reactor cleaning emission characterization - A. Castex (Air Liquide), L. Favennec (STMicroelectronics), F. Hellegouarch, N. Blasco, J. Bruat (Air Liquide), F. Fusalba (STMicroelectronics), V. Jousseaume, B. Remiat (CEA/LETI), G. Passemard (STMicroelectronics), and M. Pons (LTPCM-ENSEEG)
17:00920 Characteristics of Pulse Plasma Atomic Layer Deposited WC_xNy Diffusion Barrier for Copper Interconnect - H.S. Sim, J.-H. Park, S.-I. Kim, and Y.T. Kim (Korea Institute of Science and Technology)
17:20921 A Novel Post Etch Cleaning Process to Prevent Titanium Corrosion in 90 nm Technology - J. Zhang, L. Lee, V. Krishna, A. Kabansky, G. Narasimhan, H. Lee, C.-L. Yang, and R. Kapre (Cypress Semiconductor)
17:40922 Tungsten Hard Mask Damascene Integration Scheme for 65nm - G. Delgadino, A. Zhao, M. Le, S. Li, L.-Q. Xia, and Y. Ye (Applied Materials)

Coral Lounge, Level 6, Mid Pacific Conference Center

Wednesday Evening Poster Session

Co-Chairs: H.S. Rathore and G.S. Mathad

TimeAbs#Title
o923 Preparation and Luminescence Property of Transparent Eu3+/ZrO2 Thin Films by the Liquid Phase Deposition (LPD) Method - K. Kuratani, M. Mizuhata, A. Kajinami, and S. Deki (Kobe University)
o924 Corrosion Action between Cu and Ta/TaN Barrier during CMP - T.-H. Tsai (Kuang Wu Institute of Technology), Y.-F. Wu, and S.-C. Yen (National Taiwan University)
o925 The Use of C4F6 Plasmas as an Alternative to Perfluorocarbons for High Aspect Ratio Contact Hole Etching - C.-K. Kim, C.B. Shin (Ajou University), H.-K. Ryu (LG Chem, Ltd.), and Y.W. Kim (Hynix Semiconductor, Inc.)
o926 Impact of PMD Silicon Nitride Degradation Techniques on Oxide Integrity - E. Carollo, G. Ghidini, M. Bacchetta, and N. Galbiati (STMicrolectronics)
o927 Ultraviolet-Light-Emission of (0001)-oriented ZnO Film Prepared by Electrodeposition - M. Izaki (Osaka Municipal Technical Research Institute) and H. Takahashi (Toppan NEC Circuit Solutions, Co. Ltd.)
o928 Development of High Power-durable Electrodes with Multi-layered structure by aging treatment and Passivation layer for SAW antenna duplexer Applications - J.H. Moon, B.S. Kim, H.J. Kim (Seoul National University), K.S. Seong, K.Y. Yang, and H.K. Yang (SAWNICS Inc.)
o929 Light-induced Chemical Deposition of p-type Cu_2O Films from an Aqueous Solution - K. Mizuno (Doshisha University), M. Izaki (Osaka Municipal Technical Research Institute), K. Murase (Kyoto University), T. Shinagawa, M. Chigane (Osaka Municipal Technical Research Institute), M. Inaba, A. Tasaka (Doshisha University), and Y. Awakura (Kyoto University)
o930 Chemical Preparation of ZnO Film with Controlled Morphology. - J. Katayama (Okuno Chemical Industries Co., Ltd.) and M. Izaki (Osaka Municipal Technical Research Institute.)
o931 A method for monitoring of CVD precursor consumption - J.Y. Yun, B.Y. Ahn, J.H. Kim, Y.H. Shin, and K.H. Chung (Korea Research Inst. of Standards and Science)
o932 Influence of substrate roughness on the adherent of BDD film on Ti-based substrates - P. Lim (Industrial Technology Research Institute), V. Ralchenko (General Physics Institute, RAS), V. Varnin (Institute of physical chemistry (IPC) RAS), and H. Shih (Department of Materials Science and Engineering, National Tsing Hua University)
o933 Preparation of Yttria Stabilized Zirconia Thin Film for SOFC Electrolyte by Electon Beam PVD - S.-K. Woo (Korea Institute of Energy Research), T.-H. Shin (Yonsei University), S. Lee, I.-S. Han, K.-S. Hong, and D.-W. Seo (Korea Institute of Energy Research)
o934 Chemical Structure and Surface Potential of Nickel and Nickel-oxide Thin-film Surfaces Studied by High-resolution Monochromated X-ray Photoelectron Spectroscopy and Scannning Kelvin Force Microscopy - M. Nishitani-Gamo (Toyo University), H. Gamo (Toppan Printing Co., Ltd.), K. Nakagawa, and T. Ando (National Institute for Materials Science)
o935 Thin Films with Controlled Thickness Gradient – the direct “one-stop” multi-calibration vehicle for etching - H.D. Wanzenboeck, H. Langfischer, and E. Bertagnolli (Vienna University of Technology)
o936 Effect of adjacent Pd on Ni-MILC - Y.-S. Kim, H.-U. Oh, and S.-K. Joo (Seoul National University)
o937 The effect Crystal Filter on Metal Induced Lateral Crystallization orientation - M.S. Kim (Seoul National Univ.), Y.-G. Yoon (Sam Sung electronics.), and S.K. Joo (Seoul National Univ.)
o938 Electrodeposited Biaxially Textured Ni, Ni-W Layer for YBCO Superconductor Oxide Films - R. Bhattacharya, P. Spagnol, and T. Chaudhuri (National Renewable Energy Laboratory)
o939 Synthesizing ordered porous silicon oxide layer by horizontal nonlinear anodization approach - P. Deng (Beijing center for physical and chemical analysis) and X. Bai (Tsinghua University)

Thursday, October 7, 2004

Rainbow 3, Lower Level, Rainbow Tower

Copper Interconnects and Thin Films

Co-Chairs: G.S. Mathad and H.S. Rathore

TimeAbs#Title
08:20940 Optical Fabry-Perot Spectroscopy for the determination of layer parametres - P. Kosoboutski (Lviv Polytechnic National University) and A. Morgulis (City University of New York)
08:40941 Electroless Deposited Thin Silver Films and Its Properties - H.-C. Koo and J.J. Kim (Seoul National University)
09:00942 Characteristics of CuNi alloy deposited by magnetron sputtering for thin film resistor - S.-G. Hur (Chungnam national university), D.-J. Kim, B.-D. Kang (KMC Technology Co., Ltd.), and S.-G. Yoon (Chungnam national university)
09:20943 Ballistic Transport and Reaction in Three-Dimensional Grain Structures - M. Bloomfield and T. Cale (Rensselaer Polytechnic Institute)
09:40 Twenty-Minute Intermission
10:00944 Transient Behavior in Copper Electrofilling - J. Flake (Freescale Semiconductor), J.-P. Jacqueman (Royal Philips Electronics), M. Hartig (Freescale Semiconductor), E. Sicurani (CEA LETI), and P. Vannier (ST Microelectronics)
10:20945 The Thermal Degradation Prevention of Fluorocarbon Material for Interlayer Dielectric Film - A. Hidaka (Tohoku University), S. Yamashita, M. Kitano, A. Teramoto, Y. Shirai, and T. Ohmi (New Industry Creation Hatchery Center of Tohoku University)
10:40946 In-situ Electrochemical Measurements for Detecting Effect of Pad Groove on Copper Chemical Mechanical Polishing - A. Ishikawa, H. Matsuo (MIRAI-ASET), and T. Kikkawa (Hiroshima University)
11:00947 Preparations of High Planarity Slurry (HPS) in Chemical Mechanical Polishing Process - D.-J. Lee, N.-S. Kim, J.-H. So, K.-M. Kang, S.-T. Moon, B.-S. Ahn, and S.-M. Chon (Samsung Electronics Co. LTD.)
11:20948 Dispersion Stability and CMP (Chemical Mechanical Planarization) Slurry Characteristics with a point of Micro-Scratch Reduction - D.-J. Lee, J.-H. So, N.-S. Kim, K.-M. Kang, S.-T. Moon, B.-S. Ahn, and S.-M. Chon (Samsung Electronics Co. LTD.)
11:40949 Investigation of Removal Efficiency of Surface Passivation on Pattern Effect of Cu Abrasive Free Polishing - J.-Y. Fang and M.-S. Tsai (Institute of Materials Science and Engineering, National Chiao Tung University)